Data Scientist
Data Scientist

Biography

Marco Minutoli is a research scientist in the Data Science and Machine Intelligence group at Pacific Northwest National Laboratory (PNNL). His research focuses on the design of parallel graph algorithms for combinatorial scientific computing and on the definition of hardware/software co-design and high-level synthesis methodologies and their compilation and optimization pipelines for the generation of custom computing devices optimized for irregular applications. 

Marco Minutoli on Google Scholar.

Marco Minutoli on GitHub.

Disciplines and Skills

  • Algorithms
  • Compilers
  • Computer science
  • Graph analytics
  • Hardware architecture
  • High-performance computing (HPC)

Education

  • PhD in computer science, Washington State University, 2021
  • MS in computer engineering technology, Politecnico di Milano, 2014
  • BS in computer and telecommunication engineering, Università degli Studi di Messina, 2007

Publications

2023

  • Castellana, V. G., N. B. Agostini, A. Limaye, V. Amatya, M. Minutoli, J. Manzano, A. Tumeo, S. Curzel, M. Fiorito, and F. Ferrandi. 2023. “Towards on-Chip Learning for Low Latency Reasoning with End-to-End Synthesis.” Proceedings of the 28th Asia and South Pacific Design Automation Conference. PNNL-SA-179740. https://doi.org/10.1145/3566097.3568360.
  • Chen, X., M. Minutoli, J. Tian, M. Halappanavar, A. Kalyanaraman, and D. Tao. 2022. “HBMax.” Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. PNNL-SA-170217. https://doi.org/10.1145/3559009.3569647.
  • Shah, M., R. Neff, H. Wu, M. Minutoli, A. Tumeo, and M. Becchi. 2022. “Accelerating Random Forest Classification on GPU and FPGA.” Proceedings of the 51st International Conference on Parallel Processing. PNNL-SA-174343. https://doi.org/10.1145/3545008.3545067.

2022

  • Agostini, N. B., S. Curzel, V. Amatya, C. Tan, M. Minutoli, V. G. Castellana, J. Manzano, D. Kaeli, and A. Tumeo. 2022a. “An Mlir-Based Compiler Flow for System-Level Design and Hardware Acceleration.” Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design. PNNL-SA-173501. https://doi.org/10.1145/3508352.3549424
  • Agostini, N. B., A. Limaye, M. Minutoli, V. G. Castellana, J. Manzano, A. Tumeo, S. Curzel, and F. Ferrandi. 2022. “Soda Synthesizer.” Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design. PNNL-SA-176485. https://doi.org/10.1145/3508352.3561101.
  • Curzel, S., N. Bohm Agostini, V. G. Castellana, M. Minutoli, A. Limaye, J. Manzano, J. J. Zhang, D. Brooks, G.-Y. Wei, F. Ferrandi, and A. Tumeo. 2022. “End-to-End Synthesis of Dynamically Controlled Machine Learning Accelerators.” IEEE Transactions on Computers: 1-14. PNNL-SA-169650. https://doi.org/10.1109/tc.2022.3211430.