Skip to Main Content U.S. Department of Energy
Fundamental and Computational Sciences Directorate

Staff information

Vinay Amatya

Data Architectures and AI
Computer Scientist
Pacific Northwest National Laboratory
PO Box 999
MSIN: K7-90
Richland, WA 99352

PNNL Publications

2023

  • Castellana V.G., N. Bohm Agostini, A.M. Limaye, V.C. Amatya, M. Minutoli, J.B. Manzano Franco, and A. Tumeo, et al. 2023. "Towards On-Chip Learning for Low Latency Reasoning with End-to-End Synthesis." In Proceedings of the 28th Asia and South Pacific Design Automation Conference (ASPDAC 2023), January 16-19, 2023, Tokyo, Japan, 632-638. New York, New York:Association for Computing Machinery. PNNL-SA-179740. doi:10.1145/3566097.3568360
  • Tipireddy R., V.C. Amatya, W.S. Rosenthal, and M. Subramanian. 2023. Sequential Decision Making (SDM) for Mesh Refinement and Model Selection in Multiscale, Multi-Physics Applications. PNNL-33338. Richland, WA: Pacific Northwest National Laboratory. Sequential Decision Making (SDM) for Mesh Refinement and Model Selection in Multiscale, Multi-Physics Applications

2022

  • Bohm Agostini N., S. Curzel, A.M. Limaye, V.C. Amatya, M. Minutoli, V.G. Castellana, and J.B. Manzano Franco, et al. 2022. "The SODA Approach: Leveraging High-Level Synthesis for Hardware/Software Co-design and Hardware Specialization: Invited." In Proceedings of the 59th ACM/IEEE Design Automation Conference (DAC 2022), July 10-14, 2022, San Francisco, CA, 1359-1362. New York, New York:Association for Computing Machinery. PNNL-SA-172445. doi:10.1145/3489517.3530628
  • Bohm Agostini N., S. Curzel, J. Zhang, A.M. Limaye, C. Tan, V.C. Amatya, and M. Minutoli, et al. 2022. "Bridging Python to Silicon: The SODA Toolchain." IEEE Micro 42, no. 5:78 - 88. PNNL-SA-169276. doi:10.1109/MM.2022.3178580
  • Bohm Agostini N., S. Curzel, V.C. Amatya, C. Tan, M. Minutoli, V.G. Castellana, and J.B. Manzano Franco, et al. 2022. "An MLIR-based Compiler Flow for System-Level Design and Hardware Acceleration." In Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design (ICCAD 2022), October 30-November 3, 2022, San Diego, CA, Art. No. 6. New York, New York:Association for Computing Machinery. PNNL-SA-173501. doi:10.1145/3508352.3549424

2021

  • Curzel S., N. Bohm Agostini, S. Song, I. Dagli, A.M. Limaye, C. Tan, and M. Minutoli, et al. 2021. "Automated Generation of Integrated Digital and Spiking Neuromorphic Machine Learning Accelerators." In IEEE/ACM International Conference On Computer Aided Design (ICCAD 2021), November 1-4, 2021, Munich, Germany, 1-7. Piscataway, New Jersey:IEEE. PNNL-SA-166239. doi:10.1109/ICCAD51958.2021.9643474
  • Zhang J., N. Bohm Agostini, S. Song, C. Tan, A.M. Limaye, V.C. Amatya, and J.B. Manzano Franco, et al. 2021. "Towards Automatic and Agile AI/ML Accelerator Design with End-to-End Synthesis." In IEEE 32nd International Conference on Application-specific Systems, Architectures and Processors (ASAP 2021), July 7-9, 2021, Virtual, 218-225. Piscataway, New Jersey:IEEE. PNNL-SA-163507. doi:10.1109/ASAP52443.2021.00040

2020

  • Hagen A.R., E.D. Church, J.F. Strube, K. Bhattacharya, and V.C. Amatya. 2020. "Scaling the training of particle classification on simulated MicroBooNE events to multiple GPUs." Journal of Physics: Conference Series 1525. PNNL-SA-143856. doi:10.1088/1742-6596/1525/1/012104
  • Minutoli M., V.G. Castellana, C. Tan, J.B. Manzano Franco, V.C. Amatya, A. Tumeo, and D. Brooks, et al. 2020. "SODA: a New Synthesis Infrastructure for Agile Hardware Design of Machine Learning Accelerators." In Proceedings of the 39th International Conference On Computer-Aided Design (ICCAD 2020), November 2-5, 2020, Virtual Conference, edited by Y. Xie, Article No. 98. New York, New York:Association for Computing Machinery. PNNL-SA-155356. doi:10.1145/3400302.3415781
  • Pal S., S. Biswas, S. Sridhar, A. Ashok, J. Hansen, and V.C. Amatya. 2020. "Understanding Impacts of Data Integrity Attacks on Transactive Control Systems." In IEEE Power & Energy Society Innovative Smart Grid Technologies Conference (ISGT), February 17-20, 2020, Washington DC, 1-5. Piscataway, New Jersey:IEEE. PNNL-SA-138041. doi:10.1109/ISGT45199.2020.9087734
  • Tumeo A., M. Minutoli, V.G. Castellana, J.B. Manzano Franco, V.C. Amatya, D. Brooks, and G. Wei. 2020. "Invited: Software defined accelerators from learning tools environment." In Invited: Software defined accelerators from learning tools environment, 1-6. Piscataway, New Jersey:IEEE. PNNL-SA-152847. doi:10.1109/DAC18072.2020.9218489

2019

  • Visweswara Sathanur A., V.C. Amatya, M.H. Khan, R.J. Rallo Moya, and K.L. Maass. 2019. "Graph Analytics and Optimization Methods for Insights from the Uber Movement Data." In Proceedings of the 2nd ACM/EIGSCC Symposium on Smart Cities and Communities (SCC 2019), September 10-12, 2019, Portland, OR, Article No. 2. New York, New York:ACM. PNNL-SA-146172. doi:10.1145/3357492.3358625

2017

  • Amatya V.C., A. Vishnu, C.M. Siegel, and J.A. Daily. 2017. "What does fault tolerant Deep Learning need from MPI?." In Proceedings of the 24th European MPI Users' Group Meeting, September 25-28, 2017, Chicago, Illinois, Paper No. 13. New York, New York:ACM. PNNL-SA-127971. doi:10.1145/3127024.3127037

Science at PNNL

Core Research Areas

User Facilities

Centers & Institutes

Research Highlights

View All Research Highlights & Staff Accomplishments

RSS Feed

Contacts