Skip to Main Content U.S. Department of Energy
Fundamental and Computational Sciences Directorate

Staff information

Nicolas Bohm Agostini

HPC Team A
Computer Scientist

PNNL Publications

2023

  • Castellana V.G., N. Bohm Agostini, A.M. Limaye, V.C. Amatya, M. Minutoli, J.B. Manzano Franco, and A. Tumeo, et al. 2023. "Towards On-Chip Learning for Low Latency Reasoning with End-to-End Synthesis." In Proceedings of the 28th Asia and South Pacific Design Automation Conference (ASPDAC 2023), January 16-19, 2023, Tokyo, Japan, 632-638. New York, New York:Association for Computing Machinery. PNNL-SA-179740. doi:10.1145/3566097.3568360
  • Luo Y., C. Tan, N. Bohm Agostini, A. Li, A. Tumeo, N. Dave, and T. Geng. 2023. "ML-CGRA: An Integrated Compilation Framework to Enable Efficient Machine Learning Acceleration on CGRAs." In Proceedings of the 60th ACM/IEEE Design Automation Conference (DAC 2023), July 9-13, 2023, San Franciso, CA, 1-6. Piscataway, New Jersey:IEEE. PNNL-SA-180015. doi:10.1109/DAC56929.2023.10247873

2022

  • Bohm Agostini N., A.M. Limaye, M. Minutoli, V.G. Castellana, J.B. Manzano Franco, A. Tumeo, and S. Curzel, et al. 2022. "SODA Synthesizer: an Open-source, Multi-level, Modular, Extensible Compiler from High-level Frameworks to Silicon." In Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design (ICCAD 2022), October 30-November 3, 2022, San Diego, CA, Art. No. 18. New York, New York:Association for Computing Machinery. PNNL-SA-176485. doi:10.1145/3508352.3561101
  • Bohm Agostini N., S. Curzel, A.M. Limaye, V.C. Amatya, M. Minutoli, V.G. Castellana, and J.B. Manzano Franco, et al. 2022. "The SODA Approach: Leveraging High-Level Synthesis for Hardware/Software Co-design and Hardware Specialization: Invited." In Proceedings of the 59th ACM/IEEE Design Automation Conference (DAC 2022), July 10-14, 2022, San Francisco, CA, 1359-1362. New York, New York:Association for Computing Machinery. PNNL-SA-172445. doi:10.1145/3489517.3530628
  • Bohm Agostini N., S. Curzel, J. Zhang, A.M. Limaye, C. Tan, V.C. Amatya, and M. Minutoli, et al. 2022. "Bridging Python to Silicon: The SODA Toolchain." IEEE Micro 42, no. 5:78 - 88. PNNL-SA-169276. doi:10.1109/MM.2022.3178580
  • Bohm Agostini N., S. Curzel, V.C. Amatya, C. Tan, M. Minutoli, V.G. Castellana, and J.B. Manzano Franco, et al. 2022. "An MLIR-based Compiler Flow for System-Level Design and Hardware Acceleration." In Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design (ICCAD 2022), October 30-November 3, 2022, San Diego, CA, Art. No. 6. New York, New York:Association for Computing Machinery. PNNL-SA-173501. doi:10.1145/3508352.3549424
  • Tan C., N. Bohm Agostini, T. Geng, C. Xie, J. Li, A. Li, and K.J. Barker, et al. 2022. "DRIPS: Dynamic Rebalancing of Pipelined Streaming Applications on CGRAs." In IEEE International Symposium on High-Performance Computer Architecture (HPCA 2022), April 2-6, 2022, Seoul, Korea, 304-316. Piscataway, New Jersey:IEEE. PNNL-SA-165149. doi:10.1109/HPCA53966.2022.00030

2021

  • Curzel S., N. Bohm Agostini, S. Song, I. Dagli, A.M. Limaye, C. Tan, and M. Minutoli, et al. 2021. "Automated Generation of Integrated Digital and Spiking Neuromorphic Machine Learning Accelerators." In IEEE/ACM International Conference On Computer Aided Design (ICCAD 2021), November 1-4, 2021, Munich, Germany, 1-7. Piscataway, New Jersey:IEEE. PNNL-SA-166239. doi:10.1109/ICCAD51958.2021.9643474
  • Tan C., T. Geng, C. Xie, N. Bohm Agostini, J. Li, A. Li, and K.J. Barker, et al. 2021. "DynPaC: Coarse-Grained, Dynamic, and Partially Reconfigurable Array for Streaming Applications." In IEEE 39th International Conference on Computer Design (ICCD 2021), October 24-27, 2021, Virtual, Online, 33-40. Piscataway, New Jersey:IEEE. PNNL-SA-163151. doi:10.1109/ICCD53106.2021.00018
  • Zhang J., N. Bohm Agostini, S. Song, C. Tan, A.M. Limaye, V.C. Amatya, and J.B. Manzano Franco, et al. 2021. "Towards Automatic and Agile AI/ML Accelerator Design with End-to-End Synthesis." In IEEE 32nd International Conference on Application-specific Systems, Architectures and Processors (ASAP 2021), July 7-9, 2021, Virtual, 218-225. Piscataway, New Jersey:IEEE. PNNL-SA-163507. doi:10.1109/ASAP52443.2021.00040

Science at PNNL

Core Research Areas

User Facilities

Centers & Institutes

Research Highlights

View All Research Highlights & Staff Accomplishments

RSS Feed

Contacts